Welcome![Sign In][Sign Up]
Location:
Search - vhdl ps2

Search list

[VHDL-FPGA-Verilogps2_mouse

Description: ps2 mouse controller
Platform: | Size: 5120 | Author: 张志诚 | Hits:

[SCMVerilog_PS2

Description: ps2控制的verilog代码,学习的好资料-ps2 control Verilog code, a good study information
Platform: | Size: 501760 | Author: sdffer343 | Hits:

[Embeded-SCM Developgame-NIOS2

Description: 这是一款基于NIOSII的小游戏,主要由PS2键盘输入,并由1602液晶显示。-This is a game based on the NIOSII, mainly from PS2 keyboard input by the liquid crystal display 1602.
Platform: | Size: 1459200 | Author: 谢震 | Hits:

[VHDL-FPGA-Verilogpong

Description: Pong is a mixed schematic, VHDL, Verilog project featuring the PS2 and VGA monitor connections of the Xilinx\Digilent Spartan-3 demo board.
Platform: | Size: 74752 | Author: wangfeng | Hits:

[SCMps2

Description: 鼠标的verilog源代码 ,对初学者有很大帮助-Mouse Verilog source code, there is very helpful for beginners
Platform: | Size: 3072 | Author: Jackie | Hits:

[Otherzlg_avalon_ps2mouse

Description: 周立功SOPC 嵌入式系统实验教程书籍配套光盘 PS2鼠标驱动代码-Ligong week experimental course SOPC embedded systems supporting CD-ROM books PS2 mouse driver code
Platform: | Size: 21504 | Author: 周正波 | Hits:

[VHDL-FPGA-Verilogvhdl

Description: ps2 vhdl 实现键盘输入 数码管显示ascii码-ps2 vhdl
Platform: | Size: 187392 | Author: 建宁 | Hits:

[VHDL-FPGA-VerilogPS2_keyboard_decoder_experiment

Description: BJ-EPM240V2实验例程以及说明文档实验之九PS2键盘解码实验-BJ-EPM240V2 experimental test routines as well as documentation of nine experiments PS2 keyboard decoder
Platform: | Size: 517120 | Author: 王建毅 | Hits:

[ARM-PowerPC-ColdFire-MIPSPS2

Description: a document for ps/2 keyboard controller
Platform: | Size: 199680 | Author: ROMY | Hits:

[Otherps2keyboard

Description: 键盘有很多种,有4x4键盘,有ps2键盘等等。这是个ps2 键盘的输入,同时显示键盘的输入值-There are a variety of keyboards, with 4x4 keyboard, a ps2 keyboard and so on. This is a ps2 keyboard input, keyboard input values displayed simultaneously
Platform: | Size: 614400 | Author: 红儿 | Hits:

[OtherS7_PS2_RS232

Description: 利用cpld作为cpu控制器将ps2中取得按键值通过串口传送给pc机-cpld verilog ps2 UART
Platform: | Size: 1438720 | Author: wphyl | Hits:

[VHDL-FPGA-Verilogps22

Description: ps2键盘控制器的VHDL代码,个人感觉还是有一定的借鉴价值的,和大家分享一下吧。-ps2 keyboard controller VHDL code, personal feelings, or have a certain reference value, and share with you Bar.
Platform: | Size: 621568 | Author: yangzehui | Hits:

[VHDL-FPGA-VerilogKbtestbench

Description: VHDL编写的Keyboard control使用ps2 keboard来使fgpa的led上显示键盘的二进制代码,用4个7seg来显示0-9的数字,该程序包含testbench.-ps2 keyboard controller which could enable led on fgpa to show the binary code of each key on ps2 keyboard and another four 7segment will display the number from 0 to 9 when you press those number on keyboard, besides it will display E on 7seg when you press others.
Platform: | Size: 2048 | Author: hongwan | Hits:

[Driver Developkeyboard_vhdl

Description: ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC SW : in std_logic_vector(4 downto 0) HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0) ) end klawa architecture Behavioral of klawa is component keyboard PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ) scan_ready : OUT STD_LOGIC ) END component -ps2 keyboard with encoding ascii code to 7-segments screeen. LIBRARY ieee USE ieee.std_logic_1164.all USE ieee.std_logic_arith.all USE ieee.std_logic_unsigned.all ENTITY klawa IS PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC SW : in std_logic_vector(4 downto 0) HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0) ) end klawa architecture Behavioral of klawa is component keyboard PORT ( keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ) scan_ready : OUT STD_LOGIC ) END component
Platform: | Size: 637952 | Author: arekk | Hits:

[VHDL-FPGA-VerilogS7_PS2_RS232

Description: 基于verilog语言PS2接口和RS232接口的实现-PS2 based on verilog language interface and RS232 interface implementation
Platform: | Size: 1748992 | Author: jiehao | Hits:

[OtherPS2LCDController

Description: PS2键盘LCD显示控制器的vhdl代码,很难得-PS2LCDController vhdl code
Platform: | Size: 27648 | Author: chpliao | Hits:

[VHDL-FPGA-Verilogps_2_keyboard

Description: 用VHDL语言编写的ps2键盘程序,可以在de2实验板上运行。初学者适用-VHDL language with the ps2 keyboard program, you can experiment in the de2 board to run. For beginners
Platform: | Size: 1049600 | Author: dingxing | Hits:

[VHDL-FPGA-VerilogUP_IP_Library_80

Description: altera大学IP库,包含ps2、sdram、rs232等-altera University, IP libraries, including the ps2, sdram, rs232, etc.
Platform: | Size: 5476352 | Author: wcm | Hits:

[VHDL-FPGA-VerilogPS2andRS232

Description: 基于Verilog语言PS2接口和RS232接口的实现 有文档说明,工程实例.可用来学习Verilog语言.-Based on Verilog Language PS2 interface and RS232 interface implementation are documented, project examples. Can be used to learn the Verilog language.
Platform: | Size: 1770496 | Author: generalj | Hits:

[VHDL-FPGA-VerilogPS2RS232

Description: 这是关于PS2和rs232串口的代码,verilog的,是深入了解串口的好的学习实例。-good code about rs232 and ps2
Platform: | Size: 1770496 | Author: 秦天 | Hits:
« 1 2 3 4 56 7 8 9 10 »

CodeBus www.codebus.net